Uart

Uart with simple interface. Tested with various fpgas.

https://github.com/hVHDL/hVHDL_uart